Are Chiplets Sufficient to Save Moore’s Legislation?
//php echo do_shortcode(‘[responsivevoice_button voice=”US English Male” buttontext=”Listen to Post”]’) ?>
Throughout a press convention at Computex this week in Taiwan, Nvidia CEO Jensen Huang and MediaTek CEO Rick Tsai introduced that Nvidia could be supplying GPU chiplets to MediaTek to be included right into a yet-to-be-designed system-on-chip (SoC) for in-cabin automotive functions together with Nvidia AI and graphics IP.
Chiplets usually are not new to Nvidia. This announcement additionally provides a bit extra validation for chiplets as an idea—one which many semiconductor makers are relying on to assist maintain Moore’s Legislation alive for the subsequent a number of years.
The concept behind chiplets is hardly a brand new idea. The business has been making multi-chip modules for many years: Mostek, for instance, put two MK4116 16-Kbit DRAM chips in a dual-cavity ceramic bundle to create the MK4332D 32Kbit DRAM again in 1979. Intel additionally mated a CPU chip and an SRAM chip within the Pentium Professional, launched in late 1995. These multichip modules (MCMs) allowed Mostek and Intel to transcend the restrictions of their semiconductor processes to create packaged units that have been “greater than Moore.”
So, co-packaged semiconductors within the type of MCMs have been round for fairly some time, and chiplet expertise is, in some ways, simply an extension of the MCM idea—albeit with much more expertise.
Maybe the earliest use of latest chiplet technology is the Xilinx Virtex-7 2000T FPGA, launched in late 2011. That FPGA, and the Xilinx Virtex-7 580HT launched shortly after, employed a chiplet-on-silicon–interposer expertise co-developed by Xilinx and Taiwan Semiconductor Manufacturing Co. (TSMC). That silicon interposer expertise has developed and remains to be out there from TSMC, and it’s now referred to as CoWoS (Chip on Wafer on Substrate).
Chiplets’ two greatest benefits
The Xilinx Virtex-7 2000T and 580HT demonstrated two of the most important benefits that chiplets present.
For the Virtex-7 2000T, the meeting of 4 28-nm FPGA chiplets into one bundle utilizing a silicon interposer allowed Xilinx to construct a a lot bigger FPGA that may be potential with a monolithic 28-nm die. The interposer permits a semiconductor maker to exceed the reticle restrict of a wafer stepper by assembling massive die right into a mosaic that’s bigger than is feasible with one die.
The Virtex-7 580HT deleted one of many Virtex-7 2000T’s 4 FPGA chiplets and changed it with a 28Gbps transceiver chiplet, at a time when it was not potential to construct 28Gbps transceivers utilizing the mainstream 28-nm digital CMOS course of used to fabricate the FPGA chiplets.
Consequently, the second benefit that chiplets ship is the flexibility to combine and match die which were fabricated utilizing totally different course of nodes, fairly presumably from totally different foundries. Essential course of nodes which might be notably totally different from mainstream and modern digital course of nodes embrace analog processes, reminiscence processes (corresponding to DRAM processes, particularly within the type of high-bandwidth reminiscence (HBM) reminiscence stacks), and high-current or excessive voltage processes—particularly unique processes, corresponding to Gallium-Arsenide (GaAs) for photonics and Silicon-Carbide (SiC) for energy semiconductors.
Restricted use to date
Nonetheless, the ecosystem for business chiplets—one the place a market of chiplets from many distributors will be included right into a multichip SoC by a number of packaging distributors with mix-and-match ease—has but to seem.
Using chiplets has been largely restricted to particular person chipmakers like AMD, which accomplished its acquisition of Xilinx in 2022 and adopted its chiplet expertise; and Intel, which first employed its personal proprietary EMIB (embedded multi-die interconnect bridge) and AIB (Superior Interface Bus) chiplet-packaging applied sciences for Stratix 10 FPGAs, launched in 2016.
In each AMD and Intel’s circumstances, chiplets have proved so profitable that the usage of chiplet applied sciences has now unfold all through the businesses’ respective product traces, together with their flagship processor merchandise.
In probably the most excessive instance, Intel created an IC with greater than 100 billion transistors within the bundle by incorporating 47 lively chiplets (Intel prefers to name them “tiles”) into the design of its Ponte Vecchio GPU (now referred to as the Information Middle GPU Max Sequence) for high-performance computing applications. That isn’t at present possible with a monolithic chip.
Interface requirements missing
One of many issues holding again the broad commercialization of chiplets is the dearth of bodily and electrical interface requirements.
Intel made AIB out there as an open-source normal, which has now been formalized by the CHIPS Alliance consortium, however there are different competing proposals. Two main chiplet interface requirements embrace the unusually named “bunch of wires” (BoW), an open die-to-die (D2D) interconnect specification advocated by the Open Compute Undertaking (OCP) Basis, and the Universal Chiplet Interconnect Express (UCIe), a unique and open specification for D2D interconnect, co-developed by AMD, Arm, ASE Group, Google Cloud, Intel, Meta, Microsoft, Qualcomm, Samsung and TSMC.
When Intel CEO Pat Gelsinger mentioned his firm’s participation within the UCIe Consortium finally 12 months’s Intel Innovation occasion, the consortium had 80 members. Only a few months later, that quantity has risen to greater than 100 member corporations.
Interface wiring specs are one factor, however the high-speed SerDes PHY—the physical-layer signaling specification wanted to push bits over these wires at multi-Gbps charges—is kind of one other. The plain serial protocol candidates—Ethernet and PCIe—are each designed for operation over for much longer sign paths than what is required for D2D interconnects. Consequently, present package-to-package, board-to-board, and box-to-box signaling schemes devour far an excessive amount of energy per bit transferred and are subsequently thought of unsuitable as D2D interconnect requirements.
A number of IP corporations, together with Innosilicon, Cadence and Synopsys, provide high-speed PHY IP for D2D communications. One new entrant within the UCIe PHY race, Eliyan, just lately launched the outcomes of its first silicon realization of its NuLink D2D PHY IP.
Eliyan’s PHY expertise focuses on three essential components for D2D interconnect: per-lane bandwidth, energy consumption per bit transferred, and bit-rate efficiency over distance for natural substrates.
Eliyan just lately accomplished checks of its first silicon take a look at chiplet with the present NuLink PHYs. The take a look at chiplets are applied with TSMC’s N5 CMOS course of expertise and combine 4 channels of 16 lanes per channel. Every channel has 16-bit lanes with one clock sign pair per channel. Eliyan assembled 10 of those take a look at chiplets onto an natural substrate as 5 transmit/obtain pairs with totally different spacing between every pair, to check the attain of the NuLink PHY over the natural substrate.
The spacings between transmit/obtain chiplet pairs are 19-21.5mm, 15-17.5mm, 10-12.5mm, 5-7.5mm, and 2-4.5mm. The variability in spacing between the pairs represents the various places among the many chiplets’ sign line bumps for every lane.
These take a look at chiplets achieved 32Gbps per lane in unidirectional operation and 40Gbps per lane in bidirectional operation (20Gbps in every route, concurrently) over all of the separation distances on the take a look at substrate. For all unidirectional operations as much as 32Gbps/lane, the ability consumption measured in silicon is below 0.5pJ/bit throughout all separation distances.
Till the UCIe Consortium develops the requisite requirements—together with a typical PHY—and till a essential mass of corporations—together with meeting, packaging and take a look at corporations—be a part of the chiplet ecosystem, the chiplet market will stay small, and chiplet use might be restricted to massive semiconductor suppliers, corresponding to AMD, Intel, MediaTek and Nvidia, which might afford to be pioneers.
Nonetheless, the UCIe Consortium’s massive and quickly rising membership roster signifies a considerable quantity of curiosity in chiplet expertise. So it’s doubtless that the momentum is already there and that chiplet applied sciences might be able to go mainstream in only a few years.